使用compxlib命令编译Xilinx的ModelSim仿真库_20110104

时间:2022-11-21 01:14:35 作者:壹号 字数:2023字

使用compxlib命令编译Xilinx的ModelSim仿真库

Xilinx提供的HDL仿真模型位于ISE安装路径下的verilogsrc目录(对应Verilog仿真模型)和vhdlsrc目录(对应VHDL仿真模型)下。在编译Xilinx仿真模型的时候,我们可以使用多种方法,例如直接使用ModelSim的vlib、vmap、vlog等命令进行编译、使用ISE集成开发环境进行编译等。本文描述的方法是采用ISE 7.1i自带的compxlib命令在命令行下进行编译,这种方法不需要我们手动干预而且最为简单。

(compxlib.exe位于Xilinx ISE安装文件夹binnt下,需要命令行方式运行,新版本的ISE提供了compxlibgui工具,以图形化方式编译,参考见/blog/628)

…… 此处隐藏0字 ……

在使用compxlib命令之前,我们首先需要将modelsim.ini文件的只读属性去掉,使其变为可写的。这样做的目的是使compxlib命令能够修改modelsim.ini文件以便在库的逻辑名字和库的实际存放路径之间建立一个永久的映射关系。modelsim.ini文件位于ModelSim安装路径下,缺省情况下它是只读的。

在介绍compxlib命令的详细语法之前,我们先通过一个实际使用的例子对compxlib命令的用法做一个简要的说明。在这个例子中,我们的使用的仿真器是ModelSim SE,并且为Xilinx的所有器件族产生Verilog和VHDL仿真库。在Windows的DOS命令行中,输入如下的命令(其中的“C:

Modeltech_6.5axilinx_lib”使用您自己的实际路径代替)。

compxlib -s mti_se -arch all -l all -dir C:Modeltech_6.5axilinx_lib -w

当这一命令执行完成后,Xilinx器件的ModelSim SE仿真库便生成了,编译后的仿真库位于“C: Modeltech_6.5axilinx_lib”目录下。而且这个命令自动修改了modelsim.ini文件,将仿真库的逻辑名字与它的实际存放路径作了永久的映射。现在运行ModelSim,如果已经自动打开了以前的工程则将这个工程关闭,我们可以看到,所有的Xilinx仿真库都出现了!